summaryrefslogtreecommitdiff
path: root/drivers/clk/st/Makefile
diff options
context:
space:
mode:
authorGabriel FERNANDEZ <gabriel.fernandez@st.com>2014-07-15 17:20:21 +0200
committerMike Turquette <mturquette@linaro.org>2014-07-28 22:36:23 -0700
commit3414666d34bb50f91965d16eab98a5fd7c8af08c (patch)
tree25226bb1db7be433a5fb9f06d17c0648c7fe078b /drivers/clk/st/Makefile
parent79bb8aa16fbc7438dae43425c8566d43b6ec49a3 (diff)
clk: st: Adds Flexgen clock binding
A Flexgen structure is composed by: - a clock cross bar (represented by a mux element) - a pre and final dividers (represented by a divider and gate elements) Signed-off-by: Gabriel Fernandez <gabriel.fernandez@linaro.org> Acked-by: Peter Griffin <peter.griffin@linaro.org> Signed-off-by: Mike Turquette <mturquette@linaro.org>
Diffstat (limited to 'drivers/clk/st/Makefile')
0 files changed, 0 insertions, 0 deletions