summaryrefslogtreecommitdiff
path: root/drivers/clk
AgeCommit message (Expand)Author
2018-02-07Merge tag 'mips_4.16' of git://git.kernel.org/pub/scm/linux/kernel/git/jhogan...Linus Torvalds
2018-02-01Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds
2018-02-01Merge tag 'armsoc-dt' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/ar...Linus Torvalds
2018-01-26Merge branches 'clk-aspeed', 'clk-lock-UP', 'clk-mediatek' and 'clk-allwinner...Stephen Boyd
2018-01-26Merge branches 'clk-remove-asm-clkdev', 'clk-debugfs-fixes', 'clk-renesas' an...Stephen Boyd
2018-01-26Merge branch 'clk-divider-container' into clk-nextStephen Boyd
2018-01-26Merge branches 'clk-iproc', 'clk-mvebu' and 'clk-qcom-a53' into clk-nextStephen Boyd
2018-01-26Merge branches 'clk-at91', 'clk-imx7ulp', 'clk-axigen', 'clk-si5351' and 'clk...Stephen Boyd
2018-01-26Merge branches 'clk-spreadtrum', 'clk-mvebu-dvfs', 'clk-qoriq', 'clk-imx' and...Stephen Boyd
2018-01-26Merge branches 'clk-qcom-alpha-pll', 'clk-check-ops-ptr', 'clk-protect-rate' ...Stephen Boyd
2018-01-26clk: aspeed: Handle inverse polarity of USB port 1 clock gateBenjamin Herrenschmidt
2018-01-26clk: aspeed: Fix return value check in aspeed_cc_init()Wei Yongjun
2018-01-26clk: aspeed: Add reset controllerJoel Stanley
2018-01-26clk: aspeed: Register gated clocksJoel Stanley
2018-01-26clk: aspeed: Add platform driver and register PLLsJoel Stanley
2018-01-26clk: aspeed: Register core clocksJoel Stanley
2018-01-26clk: Add clock driver for ASPEED BMC SoCsJoel Stanley
2018-01-18clk: Add Ingenic jz4770 CGU driverPaul Cercueil
2018-01-18clk: ingenic: Add code to enable/disable PLLsPaul Cercueil
2018-01-18clk: ingenic: support PLLs with no bypass bitPaul Cercueil
2018-01-18clk: ingenic: Fix recalc_rate for clocks with fixed dividerPaul Cercueil
2018-01-18clk: ingenic: Use const pointer to clk_ops in structPaul Cercueil
2018-01-10clk: mediatek: adjust dependency of reset.c to avoid unexpectedly being builtSean Wang
2018-01-10clk: fix reentrancy of clk_enable() on UP systemsDavid Lechner
2018-01-10clk: meson-axg: fix potential NULL dereference in axg_clkc_probe()weiyongjun (A)
2018-01-10clk: Simplify debugfs registrationStephen Boyd
2018-01-10clk: Fix debugfs_create_*() usageGeert Uytterhoeven
2018-01-10clk: Show symbolic clock flags in debugfsGeert Uytterhoeven
2018-01-05clk: renesas: r8a7796: Add FDP clockABE Hiroshige
2018-01-04clk: Move __clk_{get,put}() into private clk.h APIStephen Boyd
2018-01-04clk: sunxi: Use CLK_IS_CRITICAL flag for critical clksStephen Boyd
2018-01-03clk: Improve flags doc for of_clk_detect_critical()Geert Uytterhoeven
2018-01-03clk: sunxi-ng: a83t: Add M divider to TCON1 clockJernej Škrabec
2018-01-02Merge tag 'meson-clk-for-v4.16-3' of git://github.com/BayLibre/clk-meson into...Stephen Boyd
2018-01-02clk: Prepare to remove asm-generic/clkdev.hStephen Boyd
2018-01-02clk: qcom: Add APCS clock controller supportGeorgi Djakov
2018-01-02clk: qcom: Add regmap mux-div clocks supportGeorgi Djakov
2018-01-02clk: qcom: Add A53 PLL supportGeorgi Djakov
2017-12-29clk: sunxi-ng: fix the A64/H5 clock description of DE2 CCUIcenowy Zheng
2017-12-29clk: sunxi-ng: add support for Allwinner H3 DE2 CCUIcenowy Zheng
2017-12-28clk: divider: fix incorrect usage of container_ofJerome Brunet
2017-12-28clk: mvebu: armada-37xx-periph: Use PTR_ERR_OR_ZERO()Gomonovych, Vasyl
2017-12-28clk: iproc: Minor tidy up of iproc pll data structuresLori Hikichi
2017-12-28clk: iproc: Allow plls to do minor rate changes without resetLori Hikichi
2017-12-28clk: iproc: Fix error in the pll post divider rate calculationLori Hikichi
2017-12-28clk: iproc: Allow iproc pll to runtime calculate vco parametersLori Hikichi
2017-12-28clk: si5351: _si5351_clkout_reset_pll() can be staticWu Fengguang
2017-12-28clk: pxa: unbreak lookup of CLK_POUTIgor Grinberg
2017-12-28clk: meson-axg: make local symbol axg_gp0_params_table staticweiyongjun (A)
2017-12-28clk: meson-axg: fix return value check in axg_clkc_probe()weiyongjun (A)