summaryrefslogtreecommitdiff
path: root/include/linux/fsl_ifc.h
AgeCommit message (Expand)Author
2019-05-30treewide: Replace GPLv2 boilerplate/reference with SPDX - rule 156Thomas Gleixner
2018-09-04mtd: rawnand: fsl_ifc: fixup SRAM init for newer ctrl versionsKurt Kanzenbach
2018-03-21mtd: nand: fsl_ifc: Read ECCSTAT0 and ECCSTAT1 registers for IFC 2.0Jagdish Gediya
2017-02-06mtd: nand: ifc: Fix location of eccstat registers for IFC V1.0Mark Marshall
2016-04-19mtd/ifc: Add support for IFC controller version 2.0Raghav Dogra
2015-08-07fsl_ifc: Change IO accessor based on endiannessJaiprakash Singh
2014-11-05fsl_ifc: Support all 8 IFC chip selectsAaron Sierra
2014-09-03fsl_ifc: Fix csor_ext position in fsl_ifc_regsAaron Sierra
2014-02-18driver/memory:Move Freescale IFC driver to a common driverPrabhakar Kushwaha