From 5620a0d1aacd554ebebcff373e31107bb1ef7769 Mon Sep 17 00:00:00 2001 From: Greg Kroah-Hartman Date: Thu, 14 Sep 2017 14:23:01 -0700 Subject: firmware: delete in-kernel firmware The last firmware change for the in-kernel firmware source code was back in 2013. Everyone has been relying on the out-of-tree linux-firmware package for a long long time. So let's drop it, it's baggage we don't need to keep dragging around (and having to fix random kbuild issues over time...) Cc: Kyle McMartin Cc: Andrew Morton Cc: Michal Marek Cc: Masahiro Yamada Acked-by: David Woodhouse Signed-off-by: Greg Kroah-Hartman --- firmware/tehuti/bdx.bin.ihex | 2678 ------------------------------------------ 1 file changed, 2678 deletions(-) delete mode 100644 firmware/tehuti/bdx.bin.ihex (limited to 'firmware/tehuti') diff --git a/firmware/tehuti/bdx.bin.ihex b/firmware/tehuti/bdx.bin.ihex deleted file mode 100644 index b029e4c85795..000000000000 --- a/firmware/tehuti/bdx.bin.ihex +++ /dev/null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oading Firmware */ -/* INT_MEM Ver */ - * Tehuti Networks(R) Network Driver - * Copyright (C) 2007 Tehuti Networks Ltd. All rights reserved -- cgit