summaryrefslogtreecommitdiff
path: root/arch/xtensa/boot/dts
AgeCommit message (Expand)Author
2022-03-17xtensa: fix DTC warning unit_address_formatMax Filippov
2022-01-08kbuild: do not quote string values in include/config/auto.confMasahiro Yamada
2019-10-15xtensa: virt: fix PCI IO ports mappingMax Filippov
2019-09-02xtensa: virt: move PCI root complex to KIO rangeMax Filippov
2019-07-08xtensa: virt: add defconfig and DTSMax Filippov
2019-01-26xtensa: rename BUILTIN_DTB to BUILTIN_DTB_SOURCECorentin Labbe
2018-12-04xtensa: xtfpga.dtsi: fix dtc warnings about SPIMax Filippov
2017-11-14Merge tag 'devicetree-for-4.15' of git://git.kernel.org/pub/scm/linux/kernel/...Linus Torvalds
2017-11-09kbuild: handle dtb-y and CONFIG_OF_ALL_DTBS natively in Makefile.libMasahiro Yamada
2017-11-08kbuild: clean up *.dtb and *.dtb.S patterns from top-level MakefileMasahiro Yamada
2017-11-02License cleanup: add SPDX GPL-2.0 license identifier to files with no licenseGreg Kroah-Hartman
2016-12-15xtensa: configure shared DMA pool reservation in kc705 DTSMax Filippov
2016-09-20xtensa: rearrange CCOUNT calibrationMax Filippov
2016-09-20xtensa: xtfpga: use clock provider, don't update DTMax Filippov
2016-09-19xtensa: Tweak xuartps UART driver Rx watermark for Cadence CSP config.Scott Telford
2016-09-09xtensa: Added Cadence CSP kernel configuration for XtensaScott Telford
2016-03-11xtensa: xtfpga: fix earlycon endiannessMax Filippov
2016-03-11xtensa: xtfpga: fix i2c controller register width and endiannessMax Filippov
2016-03-11xtensa: xtfpga: fix ethernet controller endiannessMax Filippov
2016-03-11xtensa: xtfpga: fix serial port register width and endiannessMax Filippov
2015-11-09Merge tag 'xtensa-20151108' of git://github.com/czankel/xtensa-linuxLinus Torvalds
2015-11-02xtensa: nommu: xtfpga: add kc705 DTSMax Filippov
2015-10-27xtensa: enable building of all dtbsRob Herring
2015-02-07xtensa: xtfpga: add audio card to xtfpga DTSMax Filippov
2014-10-21xtensa: xtfpga: add lx200 SMP DTS and defconfigMax Filippov
2014-08-14xtensa: configure kc705 for highmemMax Filippov
2014-04-06xtensa: add support for KC705Max Filippov
2014-04-06xtensa: xtfpga: introduce SoC I/O busMax Filippov
2014-02-21xtensa: xtfpga: set ethoc clock frequencyMax Filippov
2014-02-21xtensa: xtfpga: use common clock frameworkMax Filippov
2014-01-15xtensa: standardize devicetree cpu compatible stringsBaruch Siach
2014-01-14xtensa: move built-in PIC to drivers/irqchipMax Filippov
2013-02-23xtensa: use new common dtc ruleStephen Warren
2012-12-18xtensa: add XTFPGA DTSMax Filippov